2017年2月,蘋果專利發布了一份專利申請報告,標題為“蘋果專利揭示了iPhone、Smart Cases等突破性的量子隧道觸摸敏感材料”。
蘋果被授予的專利揭示了一種新的突破性材料,稱之為變形觸摸敏感量子隧道材料。它可以用在智能iPhone手機殼中,允許手機殼背面的觸摸區控制您的iPhone。更重要的是,它可以用作制造iPhone本身的材料。
使用這種材料幾乎可以消除笨重的物理按鈕,使iPhone近乎防水,觸摸起來非常光滑。這種材料可以擴展到新的智能蘋果手表帶。智能蘋果手表帶已經涵蓋了許多有趣的專利理念,如智能鏈接、帶3D觸摸和冷卻的變色龍帶以及用MacBook輕松充電。然而,在一個波段使用量子隧道材料將允許觸摸控制,將不需要看到任何按鈕。
專利圖3顯示了iPhone充電箱的背面300。該外殼包括電池,其中量子隧穿材料用于形成輸入區303。輸入區域可以由摻雜有導電材料的硅酮形成。
在我們最初的專利報告中,有一個額外的圖形顯示了多個觸摸區,最多達20個區域,環繞在iPhone手機外殼的背面。
充電箱還包括充電指示燈,如下所示:
專利圖5向我們展示了Apple Watch和智能腕帶的一個例子,圖6a顯示了一部分蘋果手表的分解等角圖,該部分手表在帶內帶有觸控敏感材料;圖11是一個流程圖。
蘋果被授予的10296047專利最初于2015年第三季度提交,今天由美國專利商標局公布。
上一篇:宸鴻科技或于年底前和JDI達成最終收購協議
下一篇:專利顯示,蘋果或研發超大版本Truedepth產品
推薦閱讀
史海拾趣
隨著技術實力的增強,HVPSI開始將目光投向國際市場。公司積極參與國際電子展會,與全球各地的客戶建立聯系,展示其高壓電源解決方案的卓越性能。同時,HVPSI也加強了與跨國企業的合作,共同開發定制化的高壓電源產品,滿足特定行業的需求。這一系列舉措極大地拓寬了公司的市場份額,使其在國際舞臺上嶄露頭角。
隨著企業規模的不斷擴大和市場競爭的加劇,振華意識到單純的自我發展已經難以滿足企業的長遠發展需求。因此,公司開始積極探索并購與資源整合的道路。通過并購具有技術優勢和市場潛力的企業,振華不僅獲得了更多的技術資源和市場份額,還實現了產業鏈的延伸和拓展。同時,公司還注重內部資源的整合和優化,提高資源的利用效率和管理水平。
背景:隨著公司規模的擴大和產品線的豐富,Fortiming開始尋求更廣闊的市場空間。
發展:公司積極參加國內外電子展會,展示其最新技術和產品,吸引了眾多客戶的關注。同時,Fortiming還與國際知名電子企業建立了合作關系,共同開發新產品,開拓新市場。這些合作不僅提升了Fortiming的品牌影響力,還為公司帶來了更多的商業機會。
面對競爭激烈的電子市場,EMMICRO公司制定了精準的市場拓展策略。公司根據市場需求和客戶需求,不斷調整產品結構和市場定位,積極開拓新的銷售渠道和合作伙伴。同時,公司還加大了對新興市場的投入和布局,通過差異化競爭策略,成功打開了多個新的市場領域。
為了進一步提升競爭力,Blue Sky Research開始尋求產業鏈整合的機會。公司與上下游企業建立緊密的合作關系,共同推動激光技術產業的發展。通過整合產業鏈資源,Blue Sky Research實現了從原材料采購到產品銷售的全流程控制,提高了生產效率和產品質量。同時,公司還積極參與行業標準的制定和推廣,推動整個行業的健康發展。
嵌入式系統無疑是當前最熱門最有發展前途的IT應用領域之一。嵌入式系統用在一些特定專用設備上,通常這些設備的硬件資源(如處理器、存儲器等)非常有限,并且對成本很敏感,有時對實時響應要求很高等。特別是隨著消費家電的智能化,嵌入式更顯重要。 ...… 查看全部問答∨ |
|
>> warning: creating .stack section with default size of 400 (hex) words. Use -stack option to change the default size. 現在這個帖子下面記錄一下調試的點點滴滴 ...… 查看全部問答∨ |
|
1、收入 LW收入一般5~10 萬美元每年,居各行中等偏上水平;國內,大家都各有千秋,但相比其它行業,也差不多是中等偏上吧; 2、工作 LW工作很認真負責,工作時間不會從事無關的事情;國內,大家都能做到嗎? 3、休息 LW一下班就走的精光,充分 ...… 查看全部問答∨ |
目錄: 第一篇 基礎知識篇 實例1 數據類型轉換 實例2 轉義字符 實例3 關系和邏輯運算 實例4 自增自減 實例5 普通位運算 實例6 位移運算 實例7 字符譯碼 實例8 指針操作符 實例9 if判斷語句 實例10 else-if語句 實例11 嵌 ...… 查看全部問答∨ |
本帖最后由 jameswangsynnex 于 2015-3-3 19:57 編輯 德國設備制造商Mbraun近日表示,該公司目前已經接到電視廠商關于OLED設備的訂單,這些設備主要用于OLED電視面板,這意味著42英寸OLED電視即將問世。 目前市場上只有索尼推出的11英寸XEL-1 OLE ...… 查看全部問答∨ |
|
【我給xilinx資源中心做貢獻】VHDL實現USB2.0控制器設計 VHDL實現USB2.0控制器設計--控制器SSRAM library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity usbf_mem_arb is --實體聲明 generic(SSRAM_HADR:integer:=14); ...… 查看全部問答∨ |
故障:用bq20Z95自制電池管理電路,使用EV2300調試,SMbus無法通迅。此時狀態:1、電池管理電路與EV2300連接時,SMBD為3.3V,SMBC為0.7V,打開bq Evaluation Software過程中,2線的電壓無任何變化。2、 bq20Z95芯片的REG25、REG33都有正確 ...… 查看全部問答∨ |
- ENNOVI集成先進功能與創新的母排密封技術,賦能電動汽車和混合動力傳動系統
- 跨國地面與太空連接,5G NTN為未來6G打下堅實基礎
- ?Gartner發布企業通過構建自身需求激發增長的三大路徑
- BOE(京東方)攜手飛利浦EVNIA推出全球首款原生硬件圓偏光顯示器 引領健康顯示新未來
- OPPO攜手華為、vivo、榮耀簽署融合快充UFCS互授權
- 英飛凌攜手偉世通合作開發面向新一代電動汽車的先進功率轉換系統
- DIY項目代碼編寫入門
- 貿澤開售Raspberry Pi用于嵌入式和IIoT應用的RP2350微控制器
- 高壓不懼,小巧有力——納芯微車規級絕壓傳感器NSPAD1N拓展壓力傳感性能邊界
- 如何為特定應用選擇溫度傳感器